Suvarna Garge (Editor)

Lam Research

Updated on
Edit
Like
Comment
Share on FacebookTweet on TwitterShare on LinkedInShare on Reddit
Type
  
Public

Founder
  
David Lam

Number of employees
  
7,300

Founded
  
1980

Lam Research httpsuploadwikimediaorgwikipediaenthumba

Traded as
  
NASDAQ: LRCX NASDAQ-100 Component S&P 500 Component

Industry
  
Semiconductor equipment

Key people
  
Martin Anstice, CEO and President Stephen G. Newberry, Chairman of the Board

Products
  
Semiconductor manufacturing products

Revenue
  
US$ 5,259.312 million (2015) US$ 4,607.309 million (2014)

Stock price
  
LRCX (NASDAQ) US$ 120.60 +3.05 (+2.59%)10 Mar, 4:00 PM GMT-5 - Disclaimer

Headquarters
  
Fremont, California, United States

CEO
  
Martin Anstice (Jan 2012–)

Subsidiaries
  
Novellus Systems, Lam Research International B.V.

Profiles

Lam Research Corporation is an American corporation that engages in the design, manufacture, marketing, and service of semiconductor processing equipment used in the fabrication of integrated circuits. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices (transistors, capacitors) and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP), and for related manufacturing markets such as for microelectromechanical systems (MEMS).

Contents

Lam Research was founded in 1980 by Dr. David K. Lam and is headquartered in Fremont, California, in the Silicon Valley.

Lam research engineering at the atomic scale


History

Lam Research was founded in 1980 by David K. Lam, a Chinese-born engineer who had previously worked at Xerox, Hewlett-Packard and Texas Instruments. It was while he was at Hewlett Packard that he saw the need for better plasma etching equipment, to keep up with the rapid miniaturization of semiconductor wafers. He credited Bob Noyce, founder of Intel, for assisting him in getting funding by ensuring his business plan made sense.

In 1981, the company introduced its first product, the AutoEtch 480, an automated polysilicon plasma etcher. The name AutoEtch was chosen to convey that the etcher was automated, while the 80 in 480 came from 1980, the year the company was founded. The first system was sold in January 1982. In 1982, Roger Emerick was appointed CEO.

In May 1984, the company issued an IPO and was listed on NASDAQ, with the symbol LRCX. In 1985, David Lam left the company to join Link Technologies, which eventually was bought by Wyse and is now Dell Wyse. In the mid-1980s, Lam Research continued its global expansion, concentrating on Taiwan and also opening customer support centers throughout Europe, the United States and Japan.

By the early 1990s, the company had a presence in China, Korea, Singapore, and Taiwan. In March 1997, the company purchased OnTrak Systems Inc., a chip equipment manufacturer that specialized in chemical-mechanical planarization (CMP) cleaning, for $225 Million. CMP cleaning is a hybrid process to smooth surfaces using both etching and mechanical polishing. In August 1997, the company named OnTrak's CEO Jim Bagley as its CEO. In 1998, Bagley was named chairman of the board.

In 2005, Steve Newberry was appointed as CEO. In 2006, Lam Research acquired Bullen Semiconductor, now Silfex, Inc. In 2008, Lam Research acquired SEZ AG, now Lam Research AG. In 2011, Lam Research agreed to buy San Jose, California chip equipment manufacturer Novellus Systems, for $3.3B. The deal was completed in June 2012. In 2012, Martin Anstice was appointed as CEO. In October 2015, Lam Research announced plans to buy Milpitas, California-based wafer inspection equipment vendor KLA-Tencor for $10.6B, in what was viewed as a semiconductor industry consolidation move. In June 2016, it was announced that Lam Research had joined the Fortune 500 for the first time. In October 2016, the company announced it had terminated its offer for KLA-Tencor amidst concerns that the deal would not meet regulatory approval from the U.S. Department of Justice over antitrust concerns.

Products

Lam Research designs and builds products for semiconductor manufacturing, including equipment for thin film deposition, plasma etch, photoresist strip, and wafer cleaning processes. Repeated throughout semiconductor manufacturing, these technologies help create transistors, interconnects, advanced memory, and packaging structures. They are also used for applications in related markets like microelectromechanical systems (MEMS) and light-emitting diodes (LEDs).

Thin Film Deposition

Lam's thin film deposition systems lay down the sub-microscopic layers of conducting (metal) or insulating (dielectric) materials that make up an integrated circuit. The processes require uniformity at the nanoscale level.

The company employs electrochemical deposition (ECD) and chemical vapor deposition (CVD) technologies to form copper and other metal films for conducting structures. Atomic layer deposition (ALD) is also used for tungsten metal films in features like contacts and plugs, which are vertical connections between metal lines in multilevel interconnect chip designs.

Plasma-enhanced (PE) CVD and ALD technologies create dielectric films for a wide range of insulating parts. For gapfill processes, which require depositing dielectric material into narrow spaces, Lam uses high-density plasma (HDP) CVD technology. PECVD and ALD are also used to form hardmasks, layers which can be removed to improve circuit patterning processes.

Plasma Etch

Lam Research uses proprietary technology in its equipment for plasma etch, the process of selectively removing materials from the surface of a wafer in order to create the semiconductor device's features and patterns. The equipment helps chip manufacturers carve small features such as those needed for the latest multiple patterning sequences, transistors, and advanced memory structures, which involve increasingly complex film stacks and ever higher aspect ratio structures.

The company uses reactive ion etch (RIE) and atomic layer etching (ALE) to shape a variety of conductive and dielectric features. The company’s deep RIE technologies help create structures for applications like MEMS and through-silicon vias (TSVs).

Photoresist Strip

Lam’s dry strip systems use plasma technology to selectively remove the photoresist mask following a range of front-end wafer processing and advanced packaging applications.

Wafer Cleaning

Lam Research's wet spin clean and plasma-based bevel clean products remove particles, residues, and films from the wafer surface before or after adjacent processes.

The company’s spin wet clean technology is used between chip-processing steps to remove yield-limiting residues and defects. Lam’s bevel clean technology directs a plasma at the very edge of the wafer to clean unwanted particles, residues, and films. If not removed, these materials can impact yield if they flake off and re-deposit on the device area during subsequent manufacturing steps.

Customers

The company markets its products and services primarily to companies involved in the production of semiconductors in the United States, Europe and Asia.

Facilities

Lam has manufacturing facilities in the United States, Austria, and Korea and sales and services offices throughout the United States, Europe, and Asia.

References

Lam Research Wikipedia