Kalpana Kalpana (Editor)

NCSim

Updated on
Edit
Like
Comment
Share on FacebookTweet on TwitterShare on LinkedInShare on Reddit
Developer(s)
  
Cadence Design Systems

Type
  
Simulator

Operating system
  
Linux

License
  
proprietary

Website
  
Cadence Functional Verification

Incisive is a suite of tools from Cadence Design Systems related to the design and verification of ASICs, SoCs, and FPGAs. Incisive is commonly referred to by the name NCSim in reference to the core simulation engine. In the late 1990s, the tool suite was known as ldv (logic design and verification).

Depending on the design requirements, Incisive has many different bundling options of the following tools:

References

NCSim Wikipedia