Kalpana Kalpana (Editor)

Carbon nanotubes in interconnects

Updated on
Edit
Like
Comment
Share on FacebookTweet on TwitterShare on LinkedInShare on Reddit

Carbon nanotubes (CNTs) can be thought of as rolled up single atomic layer graphite sheet to form a seamless cylinder. Depending on the direction on which they are rolled, CNTs can be semiconducting or metallic. Metallic carbon nanotubes have been identified as a possible interconnect material for the future technology generations and to replace copper (Cu) interconnects. Electron transport can go over long nanotube lengths, 1μm, enabling CNTs to carry very high currents (i.e. up to 109 Acm−2) with essentially no heating due to nearly 1D electronic structure.

Contents

Carbon nanotubes for interconnects application in Integrated chips have been studied since 2001, however the extremely attractive performances of individual tubes are difficult to reach when they are assembled in large bundles necessary to make real via or lines in integrated chips. Promising approach to overcome the to date limitations are either to make very tiny local connections that will be needed in future advanced chips or to make carbon metal composite structure that will be compatible with existing microelectronic processes.

Hybrid interconnects that employ CNT vias in tandem with copper interconnects may offer advantages from a reliability/thermal-management perspective. In 2016, the European Union has funded a four million euro project over three years to evaluate manufacturability and performance of composite interconnects employing both CNT and copper interconnects. The project named CONNECT (CarbON Nanotube compositE InterconneCTs) involves the joint efforts of seven European research and industry partners on fabrication techniques and processes to enable reliable Carbon NanoTubes for on-chip interconnects in ULSI microchip production.

Local CNT Interconnects

While smaller dimensions mean better performance for transistors thanks to the decrease of intrinsic transistor gate delay, the situation is quite the opposite for interconnects. Smaller cross-section areas of interconnect would only lead to performance degradation such as increased interconnect resistance and power consumption. Since the 1990s the circuit performance is no longer limited by the transistors, thus interconnects have become a key issue and are as important as the transistors in determining chip performance. As technology scaling continues, the problem of interconnect performance degradation will only become more significant. Local interconnects that are on the lower levels of the interconnect stack connecting nearby logic gates are aggressively scaled down at each generation to follow the miniaturization of transistors and thus are mostly susceptible to performance degradation. On the local level where interconnects are most densely packed, and have pitch sizes close to the minimum feature size, we will need new interconnect materials that suffer much less from sizing effects than Copper (Cu). Thanks to the measured properties of individual carbon nanotubes (CNTs), such material has been proposed as future material for interconnects. Particularly their current carrying capabilities are extremely high typically around 109 Acm−2 and they exhibit a ballistic length up to micrometers. This normally insures a conducting material with superior properties as compared with Cu. Furthermore, CNTs with few nm in diameter are extremely robust compared with metallic nanowires of similar diameter. To make a connection, CNTs have to be paralleled in order to lower the resistance. The resistance R of one single-walled carbon nanotube (SWCNT) can be expressed by R = Rc + Rq (1+L/Lmfp) where Rc is an extrinsic contact resistance, Rq is the quantum resistance (6.5 kΩ) which comes from the connection of 1D material to a 3D metal, L is the CNT length and Lmfp is the mean free path of the electron. If N tubes are paralleled, this resistance is divided by N thus one of the technological challenge is to maximize N in a given area. If L is small as compared with Lmfp, which normally is the case for very small vias, the technological parameters to optimize are primarily the contact resistance and the tube density. Initial works have been focused on CNT vias connecting two metallic lines. Low temperature (400 °C) CVD growth of CNT on TiN catalysed by Co particles has been optimized by the Fujitsu group. The catalyst particles obtained by laser ablation of a Co target sorted by size ultimately allow to grow a CNT density around 1012 CNT cm−2 using a multistep process using plasma and catalyst particles around 4 nm. In spite of these efforts, the electrical resistance of such via is 34 Ω _for a 160 nm diameter. Performances are close to tungsten plugs thus at least one order of magnitude higher than Cu. For 60 nm via, a ballistic length of 80 nm has been determined. For processing lines, CNT technology is more difficult because dense forests of CNTs naturally grow perpendicularly to the substrate, aka Vertically Aligned Nanotube Arrays VANTA's. Only few reports on horizontal lines have been published and rely on the redirection of CNT, or the filling in existing trenches by fluidic assembly processes. The achieved performances are around 1 mΩcm, which is two decades higher than the requested values. The reasons for such discrepancy between theoretical expectations and achieved performances are multiple. One obvious reason is the packing density after integration, which is far from the requested values, and the one used in the theoretical prediction. Indeed, even with the CNTs, which are strongly densified and spinned, low conductance remains a problem. However, a recent paper shows that a one-decade improvement on the conductivity may be gained just by high-pressure densification of the CNT. In spite of the development of high-density CNT material the state of the art of integrated lines is still far from the 1013 cm−2 conducting walls requested by ITRS roadmap. Nevertheless, macroscopic assemblies with diameters of tens of microns consisting of double-walled CNTs (DWCNTs) or SWCNTs have experimental resistivity performances of 15 μΩcm after doping, demonstrating the potential of CNTs for interconnects.

Global CNT-Cu composite interconnects

For current metallization technologies for high-performance and low-power microelectronics, Cu is the material of choice due to its higher electromigration (EM) stability (resulting from the higher melting point) and conductivity to aluminium. For downscaled logic and memory applications up to 14 nm node the increased current density and reliability requirements per interconnect line still have known material and integration solutions. Thinner barrier and adhesion layers, doping of secondary metals to enhance grain boundary electromigration resistance, and integration concepts of selective cappings will be some of the adopted solutions. However, for dimensions below 7 to 10 nm nodes, the decreased volume of available conducting metal will force innovative material and integration approaches towards novel interconnect architectures. Also for power and high-performance applications the most critical challenges are high ampacity, thermal conductivity and electromigration resistance. Far away from bulk, Cu conductors that would already melt at 104 A/cm2, current Cu metallization lines can withstand 107 A/cm2 due to good heat dissipation into thermal contact to the surrounding material, optimized liner and capping as well as plating and CMP processes. The reliability of state of the art interconnects is closely linked to electromigration . This adverse effect describes the material transport and consequently void formation especially in thin metal lines to the anode by a combination of the electron wind force, the temperature gradient induced force, the stress gradient induced force and the surface tension force. Depending on the design of the interconnect layout and the used metallization scheme, the dominance of each driving force can change. Even at the current scaling node of CMOS technology, these two issues are among the main reasons for the trend that the increased density scaling of transistors no longer automatically leads to "performance scaling" (i. e. increased performance per transistor). CNTs are being studied as a potential Cu replacement owing to their excellent electrical properties in terms of conductivity, ampacity and high frequency characteristics. However, the performances of CNTs integrated into functional devices are so far systematically much lower than those of nearly perfect CNTs selected for fundamental studies worldwide. As a consequence, combinations of CNTs with copper were envisioned soon after the pioneering study about CNT interconnects. Initial experimental realizations focused on a "bulk" approach where a mixture of CNTs and Cu is deposited from a solution on the target substrate. This approach demonstrated mitigated performances for interconnect, such that focus is now almost exclusively on composite materials where the CNTs are aligned with respect to the current flow (referred to as aligned CNT-Cu composite). Furthermore, contact resistance, mechanical stability, planarity and integration could be improved by a supporting conductive matrix. Chai et al. first demonstrated the fabrication of vertical interconnects using aligned CNT-Cu composite materials in 2007 by first growing vertically aligned CNTs before filling the voids between CNTs with Cu through an electroplating method. It was shown that this material could reach low, Cu-like, resistivity but was more resistant to electromigration than Cu. More recently, a renewed interest for this material was generated by the work of Hata group claiming a 100 fold increase in current carrying capacity of aligned CNT-Cu material compared to pure Cu. Several groups are now working worldwide on the integration of aligned CNT-Cu composite materials in interconnect structures, Present and near-future efforts are focusing on demonstrating and evaluating the performances of aligned CNT-Cu composite materials for both vertical and horizontal interconnects, and to develop a CMOS-compatible process flow for multilevel global interconnects.

Physical and Electrical Characterization

Electromigration is typically characterized through the time of failure of a current carrying device. The scaling of the effect with current and temperature is used for accelerated testing and predictive analysis. Despite the great technological relevance of such measurements, there exists no widely used protocol to characterize electromigration. However, certain approaches are somewhat established, such as the variation of current and temperature. One of the unresolved challenges of electromigration are self-amplification effects of electromigration through self-heating at defects in interconnect leads. The local temperature rise due to current crowding across such defects is typically unknown. Since the underlying processes are typically thermally activated, the lack of precise knowledge of the local temperature makes the field of electromigration studies challenging, resulting in a lack of reproducibility and inter-comparability of different experimental approaches. A combination with in-situ temperature measurement is therefore desirable. There are numerous methods for thermometry and the measurement of thermal conductance of devices and structures on a length scale of microns to macroscopic. However, the quantitative thermal characterization of nanostructures is described as an unsolved challenge in the current scientific literature. Several methods have been proposed using Raman spectroscopy, electron energy loss spectroscopy, infrared microscopy, self-heating methods and scanning thermal microscopy. However, on the length scale relevant to single CNTs and their defects, i. e. the 1 nm-scale, no established solution exists applicable to CNT-based materials (our interconnects) and dielectrics (our insulators and matrix materials). Scanning thermal microscopy (SThM) and thermometry is the most promising technique for its versatility, but restrictions in tip fabrication, operation modes and signal sensitivity have limited the resolutions to 10 nm in the most cases. To increase the resolution of such technique is an open challenge which is attracting lot of attention from the industry and scientific community.

The methodology of electrical transport measurements in single CNTs, bundles and composites thereof is well established. To study finite-size effects in transport such as the transition from diffusive to ballistic transport requires the precise placement and addressing of nanoscale electrodes, typically fabricated using electron beam lithography.

Structural characterization of CNTs using transmission electron microscopy (TEM) has been shown to be a useful method for structures identification and measures. Results have been reported with resolutions down to about 1 nm and very good material contact. Due to the experimental difficulties of contacting nano-objects inside an electron microscope, there have only been few attempts to combine TEM structural characterization with in-situ electrical transport measurements.

Modelling and Simulation

From a macroscopic point of view, a generalized compact RLC model for CNT interconnects can be depicted as in, where the model of an individual MWCNT is shown with parasitics representing both dc conductance and high-frequency impedance i.e. inductance and capacitance effects. Multiple shells of a MWCNT are presented by the individual parasitics of each shell. Such model can also be applicable to SWCNTs where only a single shell is represented.

The shell resistance of an individual nanotube can be obtained by computing the resistance of each shell as Rshell=Ri+Rc+Rhb+Ro where Ri is the ballistic resistance, Rc is contact resistance, Ro is the distributed ohmic resistance and Rhb is the resistance due to the applied bias voltage. Capacitance of nanotubes consists of quantum, Cq and electrostatic capacitance Ce. For MWCNTs, there is the shell-to-shell coupling capacitance, Cc. Additionally there is a coupling capacitance, Ccm between any two CNT bundles. As for inductance, CNTs have both kinetic, Lk and magnetic inductance, Lm. There are also mutual inductances between shells, Mm and bundles, Mmm.

Detailed simulation for signal interconnects have been performed by Naeemi et al., and it has been shown that CNTs have lower parasitics than Cu metal lines, however, the contact resistance between CNT-to-CNT and CNT-to-metal is large and can be detrimental for timing issues. Simulation on power delivery interconnects have been performed by Todri-Sanial et al. and shown that CNTs overall lead to reduced voltage drop than copper interconnects.

The macroscopic circuit simulation addresses just the interconnect performance neglecting other important aspects like reliability and variability of CNTs, which can be properly treated only at mesoscopic level by means of fully 3D Technology Computer Aided Design (TCAD) modelling approaches. Recently, industrial and scientific community are investing considerable efforts to investigate the modelling of CNT variability and reliability by means of 3D TCAD approaches for advanced technological generations.

Underneath the macroscopic (Circuit Level) and mesoscopic (TCAD level) modelling of CNT interconnects, it is also important to consider the microscopic (Ab Initio level) modelling. Significant work has been carried out on the electronic, and thermal, modeling of CNTs. Band structure and molecular level simulation tools can be also found on nanoHUB. Further potential modeling improvements include the self-consistent simulation of the interaction between electronic and thermal transport in CNTs, but also in Cu-CNT composite lines and CNT contacts with metals and other relevant materials.

A fully experimentally-calibrated electrothermal modelling tool would prove useful in studying, not only the performance of CNT and composite lines, but also their reliability and variability, and the impact of the contacts on the electronic and thermal performance. In this context, a full 3D physics-based and multi-scale (from ab-initio material simulation up to circuit simulation) simulation package that takes into account all aspects of VLSI interconnects (performance, power dissipation and reliability) is desirable to enable accurate evaluation of future CNT-based technologies.

References

Carbon nanotubes in interconnects Wikipedia