Puneet Varma (Editor)

ASML Holding

Updated on
Edit
Like
Comment
Share on FacebookTweet on TwitterShare on LinkedInShare on Reddit
Type
  
Naamloze vennootschap

Revenue
  
6.794 billion EUR (2016)

CEO
  
Peter Wennink

Industry
  
Semiconductor industry

Headquarters
  
Veldhoven, Netherlands

Number of employees
  
11,533

ASML Holding httpsstaticwwwasmlcomimglibstructureasmll

Traded as
  
Euronext: ASML, NASDAQ: ASML

Founded
  
1984; 33 years ago (1984)

Key people
  
Peter Wennink (CEO), Arthur van der Poel (Chairman of the supervisory board)

Products
  
Photolithography systems for the semiconductor industry

Stock price
  
ASML (AMS) € 115.35 -0.30 (-0.26%)27 Feb, 5:35 PM GMT+1 - Disclaimer

Profiles

Technical analysis asml holding asml downgraded at ubs


ASML is a Dutch company and currently the largest supplier in the world of photolithography systems for the semiconductor industry. The company manufactures machines for the production of integrated circuits (ICs), such as CPUs, DRAM memory, flash memory. The company is a component of the Euro Stoxx 50 stock market index.

Contents

Products

The photolithography machines manufactured by ASML are used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits, and as of 2010, ASML has 67 percent of the worldwide sales of lithography machines, with the competition consisting of Ultratech, Canon and Nikon.

Immersion lithography

As of 2011, their high-end Twinscan NXT:1950i system is used for producing features down to 32 nanometres (and outlook for 22 nm) at up to 200 wafers per hour, using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine costs 27 million euros.

EUV lithography

ASML manufactures extreme ultraviolet lithography machines that produce 13.5 nm wavelength light. A high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which emits EUV light. In 2009, the IMEC research center in Belgium produced the world’s first functional 22 nm CMOS SRAM memory cells with a prototype EUV lithography machine. Series-produced (non-prototype) EUV machines were shipped in 2011.

Other

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography.

Company

ASML’s corporate headquarters is in Veldhoven, Netherlands. It is also the location for research, development, manufacturing and assembly. ASML has a worldwide customer base and over sixty service points in sixteen countries. The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML.

The company (originally named ASM Lithography) was founded in 1984 as a joint venture between the Dutch companies Advanced Semiconductor Materials International (ASMI) and Philips. Nowadays it is a public company with only a minority of the shares owned by Philips. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name. In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer, in a bid to supply 193 nm scanners to Intel Corp.

ASML is subject to cyclical industrial dynamics. For example, at the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide—mostly contract workers—and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs . Two and a half years later, ASML expected a record-high revenue.

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to 450 mm wafers and further development of EUV lithography. This deal is without exclusive rights to future ASML products and, as of July 2012, ASML is offering another 10% of the shares to other companies. In November 2013, ASML paused development of 450-mm lithography equipment, citing uncertain timing of chipmaker demand. As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012. In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advanced semiconductors.

References

ASML Holding Wikipedia