Kalpana Kalpana (Editor)

Prison Oval

Updated on
Edit
Like
Comment
Share on FacebookTweet on TwitterShare on LinkedInShare on Reddit
Location
  
Spanish Town, Jamaica

Capacity
  
2,000

Address
  
Spanish Town, Jamaica

Phone
  
+1 876-349-3326

Prison Oval

Field size
  
Approximately 120 metres (390 ft) x 100 metres (330 ft)

Hours
  
Open today · 9AM–5PMMonday9AM–5PMTuesday9AM–5PMWednesday9AM–5PMThursday9AM–5PMFriday9AM–5PMSaturdayClosedSundayClosedSuggest an edit

Similar
  
Harbour View Stadium, Ferdi Neita Sports Complex, Jarrett Park, Emmett Park, Montego Bay Sports Complex

Barrington levy prison oval rock


Prison Oval is a multi-use stadium in Spanish Town, Jamaica, currently used mostly for football matches. It serves as the home ground of Rivoli United FC. The stadium holds 2,000 people.

The name 'Prison Oval' is attributed to it being on the same property as the maximum security Saint Catherine Prison. Some prisoners are able to watch matches and other events from their cells.

It is featured in the Barrington Levy song "Prison Oval Rock".

References

Prison Oval Wikipedia